Tuesday, November 8, 2022
HomeElectronicsSK hynix Main the Approach within the HKMG Revolution

SK hynix Main the Approach within the HKMG Revolution


By
Unoh Kwon, Head of Course of Integration Know-how Growth, SK hynix 

11.08.2022

0

//php echo do_shortcode(‘[responsivevoice_button voice=”US English Male” buttontext=”Listen to Post”]’) ?>

As efficiency necessities for DRAM improve resulting from limitations in conventional scaling know-how programs, HKMG has emerged as a breakthrough answer. By utilizing this new know-how, SK hynix has dramatically improved transistor efficiency efficient even at low energy settings. This text will study what HKMG is, and the advantages of its use.

Tox Paradox: Want for a New Answer

DRAM consists of the next transistors: the cell transistor, which shops information; the core transistor, which restores information; and the peripheral transistor, which pertains to management logic and information inputs and outputs. As know-how has progressed, cell capacitors and transistors have gone via numerous technological breakthroughs to extend the reminiscence capability of DRAM. For peripheral transistors, alternatively, the main target has been on know-how scaling to enhance efficiency.

An insulating movie (gate oxide) and an electrode (gate electrode) make up the gate, which is on the core of a transistor’s on/off performance. The gate oxide consists of a SiON oxide insulator and a poly silicon-based electrode. As transistor scaling continues to progress, the space between the supply1) and drain2) will get nearer and the present strikes quicker, however the voltage utilized to the gate additionally decreases to cut back energy consumption.

1)Supply: The terminal via which the bulk cost carriers enter the transistor
2)Drain: The terminal via which the bulk cost carriers exit from the transistor

There’s a downside, nonetheless: to enhance efficiency at a decrease voltage, the thickness (Tox) of the gate oxide materials (SiON) should be decreased. However because the thickness continues to lower, the gate oxide’s reliability additionally deteriorates, leading to energy loss and limiting additional reductions in thickness.

Transistor scaling
Determine 1. Transistor scaling

 

HKMG: A Breakthrough in Scaling and Efficiency

Within the mid-2000s, conventional scaling primarily based on polySi Gate/SiON Oxide (poly/SiON) in logic semiconductors3) began exhibiting limitations in efficiency enchancment because it was not attainable to cut back the thickness of the SiON gate oxide. To beat these limitations, numerous disruptive technological improvements have been developed in accordance with the trade roadmap for logic transistors.

3)Logic Semiconductors: Digital gadgets which can be used to regulate the operation of digital gadgets by processing digital information

Additionally it is evident that peripheral/core transistor traits have gotten bottlenecked in DRAM, particularly in premium merchandise the place fast efficiency enchancment is required. Consequently, a brand new answer was required to beat the basic restrict for scaling poly-Si Gate/SiON Oxide-based transistors, and the adoption of Excessive-k/Metallic Gate (HKMG) know-how in DRAM, which led to essentially the most important innovation in logic transistor know-how, has continued to be essential.

Figure 2. Opportunities and challenges of logic scaling
Determine 2. Alternatives and challenges of logic scaling

With HKMGs, a skinny Excessive-k movie replaces the prevailing SiON gate oxide within the gate of the transistor to stop leakage currents and degradation of reliability. Moreover, by lowering thickness, steady scaling could be achieved, leading to a big discount in leakage, in addition to improved velocity traits over poly-Si/SiON primarily based transistors.

 

Figure 3. Device architecture development for scaling up
Determine 3. Machine structure improvement for scaling up

In each academia and trade, researchers have studied a wide range of Excessive-k skinny movie supplies. Usually, Hf-based gate oxides are utilized in high-temperature semiconductor manufacturing processes as a result of they guarantee thermal stability with themselves and with silicon. As a way to stop the interplay between the prevailing poly-Si electrode materials and the Excessive-k gate oxide, a steel electrode should be launched to exchange the poly-Si. This led to the event of an built-in answer referred to as Excessive-k/Metallic Gate, which mixes a excessive dielectric fixed gate oxide with a steel electrode.

Figure 4. Effects of applying HKMG
Determine 4. Results of making use of HKMG

A number of sections of the related processes have been modified to transform poly/SiON gates into HKMG gates, together with the gate materials the place the peripheral circuit (peripheral transistor) is fashioned throughout the DRAM course of movement (poly/SiON gate plug-out → HKMG electrode plug-in). Nonetheless, the HKMG supplies, processes, and integration movement should be optimized to be appropriate for the constructing blocks of the brand new materials and course of. And so, a fancy improvement course of must be utilized to deal with the next challenges.

Figure 5. HKMG scheme enables more effective and economic DRAM development
Determine 5. HKMG scheme allows more practical and financial DRAM improvement

 

  1. Compatibility: In comparison with poly/SiON gates, HKMG has comparatively weak thermal stability. Particularly, DRAM requires extra processes that happen at excessive temperatures so as to implement the cell array construction, versus basic logic semiconductors within the subsequent course of movement. As a consequence of this, HKMG’s personal reliability deteriorates, leading to an interplay not encountered in standard logic semiconductors. The HKMG course of itself and the prevailing DRAM integration course of should due to this fact be optimized to know the brand new issues arising from the brand new interplay and discover options.
  2. New Supplies Management: Introducing course of management measures, similar to measurement options for brand new substances, is required to stop current tools and merchandise from being affected by new substances and processes.
  3. Design & Take a look at Optimization: With a change within the gate materials, the transistor traits and reliability conduct differ considerably from conventional poly/SiON gates, and so as to maximize some great benefits of HKMG and to boost the reliability traits completely different from these of poly/SiON gates, it’s essential to use a brand new design and design scheme and optimize such a check.
  4. Price Efficient Course of Answer: Lastly, a cheap answer should be supplied that minimizes the elevated prices ensuing from the introduction of recent supplies and processes via course of integration optimization. By doing so, price will increase related to new processes, tools, and course of steps could be managed.

 

Figure 6. HKMG application
Determine 6. HKMG utility

Low Energy, Main Answer

SK hynix pursued its platform improvement by differentiating the HKMG course of integration right into a kind that’s appropriate for DRAM processes. Regardless of the acute technological challenges, the corporate succeeded in creating and mass-producing HKMG by figuring out any potential dangers related to DRAM movement interactions and securing an answer via a pre-verification course of that included pilot operations. The corporate’s objective is to convey larger technological innovation to next-generation tech nodes and merchandise by advancing the transition from poly/SiON gates to the upgraded constructing blocks, HKMG.

Consequently, SK hynix has additional developed its HKMG Know-how Platform to assist each Low Energy (LP) and Excessive Efficiency (HP) merchandise and to additionally strengthen its technological competitiveness within the subsequent technology of HKMG know-how.

As a ultimate word, it’s value noting that the appliance of the HKMG course of to DRAM is consistent with the current technological innovation development of converging logic and reminiscence semiconductor architectures similar to HBM, PIM, AiM, and so on. This implies that the convergence between logic semiconductors’ superior know-how options and DRAM course of know-how within the semiconductor manufacturing course of is in full swing.

Study extra  

 

Unoh Kwon, Head of Process Integration Technology Development, SK hynix

 

 



RELATED ARTICLES

LEAVE A REPLY

Please enter your comment!
Please enter your name here

- Advertisment -
Google search engine

Most Popular

Recent Comments